banner
Moerjie

Moerjie

hello world

vivado仿真(无testbench)

vivado 仿真(無 testbench)#

實現步驟#

  1. 新建一個工程並添加自己編寫的 Verilog 文件

image-20231125110055893

添加後 vivado 會自動識別文件中的 module

  1. 創建 block design 文件,添加模塊

image-20231125110528510

添加前可能會有以下警告,等待一段時間即可。

image-20231125110236315

  1. 再次右鍵,點擊 Add IP,添加以下模塊

image-20231125110856237

雙擊此模塊可以設定各種參數

image-20231125110953701

  1. 運行自動連線,選擇上面添加的時鐘源

image-20231125111057636

image-20231125111152197
  1. 在懸空的引腳上右鍵,選中以下選項,創建端口

image-20231125111342659

  1. 在左側 source 欄中,在 1 處右鍵,選擇 2 處選項,將 bd 文件轉化為.v 文件

image-20231125111720073

  1. 在生成的新文件上右鍵,將其設置為 top 文件

image-20231125111950383

  1. 運行仿真,可以看到仿真結果
image-20231125113843876

總結#

添加 simulation clock 模塊後如果進行 RTL 分析和綜合的話會報錯,目測可能是因為該模塊只能用來行為級仿真。

由於本人剛剛入門使用 vivado,很多操作不甚熟悉,如有錯誤歡迎指正。

載入中......
此文章數據所有權由區塊鏈加密技術和智能合約保障僅歸創作者所有。